logo for The Institution of Engineering and Technology
AC-DC Power System Analysis
Jos Arrillaga
The Institution of Engineering and Technology, 1998
With the expansion of HV DC transmission throughout the world, and the increasing numbers of international interconnections, few power systems can continue to escape the effect of this technology in their planning and operation. The primary subject of this book is the incorporation of AC-DC converters and DC transmission in power system analysis. However, the concepts and methods described are also applicable to the FACTS (flexible AC transmission systems) technology.
[more]

front cover of Advanced Technologies for Next Generation Integrated Circuits
Advanced Technologies for Next Generation Integrated Circuits
Ashok Srivastava
The Institution of Engineering and Technology, 2020
Although existing nanometer CMOS technology is expected to remain dominant for the next decade, new non-classical devices are being developed as the potential replacements of silicon CMOS, in order to meet the ever-present demand for faster, smaller, more efficient integrate circuits.
[more]

logo for The Institution of Engineering and Technology
Algorithmic and Knowledge-based CAD for VLSI
Gaynor Taylor
The Institution of Engineering and Technology, 1992
The continuing growth in the size and complexity of VLSI devices requires a parallel development of well-designed, efficient CAD tools. The majority of commercially available tools are based on an algorithmic approach to the problem and there is a continuing research effort aimed at improving these. The sheer complexity of the problem has, however, led to an interest in examining the applicability of expert systems and other knowledge based techniques to certain problems in the area and a number of results are becoming available. The aim of this book is to sample the present state-of-the-art in CAD for VLSI and it covers both newly developed algorithms and applications of techniques from the artificial intelligence community. The editors believe it will prove of interest to all engineers concerned with the design and testing of integrated circuits and systems.
[more]

front cover of Analog Circuit Design
Analog Circuit Design
Designing Amplifier Circuits, Volume 1
D. Feucht
The Institution of Engineering and Technology, 2010
This book presents the basic principles of transistor circuit analysis, basic per-stage building blocks, and feedback. The content is restricted to quasi-static (low-frequency) considerations, to emphasize basic topological principles. The reader will be able to analyze and design multi-stage amplifiers with feedback, including calculation and specification of gain, input and output resistances, including the effects of transistor output resistance. Of note is the presentation of feedback analysis, a subject rarely covered by other books, with insights and from angles that will reduce to analysis by inspection for readers. Some circuit transformations outlined within are especially helpful in reducing circuits to simpler forms for analysis. They are usefully applied in considering transistor circuits for which collector-emitter (or drain-source) resistance is not negligible, another often omitted topic which this book details.
[more]

front cover of Analog Circuit Design
Analog Circuit Design
Designing Dynamic Circuit Response, Volume 2
D. Feucht
The Institution of Engineering and Technology, 2010
This second volume, Analog Circuit Design: Designing Dynamic Circuit Response, builds upon the first volume (Analog Circuit Design: Designing Amplifier Circuits) by extending coverage to include reactances and their time- and frequency-related behavioral consequences. Retaining a design-oriented analysis, this volume begins with circuit fundamentals involving capacitance and inductance and lays down the approach using s-domain analysis. Additional concepts and perspectives fill in the blanks left by textbooks in regards to circuit design. It simplifies dynamic circuit analysis by using the graphical methods of reactance plots. Methods of compensating amplifiers, including feedback amplifiers, are kept as simple as possible using reactance plots and s-domain transfer functions that mainly require algebraic skill.
[more]

front cover of Analog Circuit Design
Analog Circuit Design
Designing High-Performance Amplifiers, Volume 3
D. Feucht
The Institution of Engineering and Technology, 2010
The third volume, Analog Circuit Design: Designing High-Performance Amplifiers, applies the concepts from the first two volumes. It is an advanced treatment of amplifier design/analysis emphasizing both wideband and precision amplification. Topics include bandwidth extension, noise and distortion, effects of components, instrumentation and isolation, amplifiers, autocalibration, thermal effects, current-feedback amplifiers, multi-path schemes, feed forward, fT multipliers, buffers, voltage translators, Giulbert gain cells and multipliers.
[more]

front cover of Analog Circuit Design
Analog Circuit Design
Designing Waveform-Processing Circuits, Volume 4
D. Feucht
The Institution of Engineering and Technology, 2010
The fourth volume in the set, Analog Circuit Design: Designing Waveform-Processing Circuits, builds on the previous 3 volumes and presents a variety of analog non-amplifier circuits, including voltage references, current sources, filters, hysteresis switches and oscilloscope trigger and sweep circuitry, function generation, absolute-value circuits, and peak detectors. Digitizing (ADCs and DACs) and sampling (including some switched-capacitor) circuits are explained, with theory required for design. Sampling theory is developed from both a frequency and time-domain viewpoint, with emphasis upon application to design.
[more]

logo for The Institution of Engineering and Technology
Analogue IC Design
The current-mode approach
C. Toumazou
The Institution of Engineering and Technology, 1993
State-of-the-art analogue integrated circuit design is receiving a tremendous boost from the development and application of current-mode approaches, which are rapidly superseding traditional voltage-mode techniques. This activity is linked to important advances in integrated circuit technologies, such as the 'true' complementary bipolar process; CMOS VLSI technology, which allows realisation of high-performance mixed analogue and digital circuits; and gallium arsenide processing, which has matured to a point where it can be used effectively in high-speed analogue circuit and system design. In this book, all three technologies are represented, with key building blocks, circuit designs and applications. Many very important, but recent, techniques are presented, including switched-current techniques for high-precision filtering and A/D and D/A conversion, current-based amplifying techniques, and neural networks. Translinear principles, current mirrors, and the current conveyor are also covered. This book draws together contributions from the world's most eminent analogue IC designers to provide, for the first time, a comprehensive text devoted to this important and exciting new area of analogue electronics.
[more]

logo for The Institution of Engineering and Technology
Analogue-digital ASICs
Circuit techniques, design tools and applications
R.S. Soin
The Institution of Engineering and Technology, 1991
The inexorable increase in levels of integration of electronic circuits has most often been exploited using digital signals. So much so that design engineers have sought to digitise analogue signals as early as possible in the signal processing chain, and performed digital processing wherever practicable.
[more]

front cover of Analysis and Design of CMOS Clocking Circuits For Low Phase Noise
Analysis and Design of CMOS Clocking Circuits For Low Phase Noise
Woorham Bae
The Institution of Engineering and Technology, 2020
As electronics continue to become faster, smaller and more efficient, development and research around clocking signals and circuits has accelerated to keep pace. This book bridges the gap between the classical theory of clocking circuits and recent technological advances, making it a useful guide for newcomers to the field, and offering an opportunity for established researchers to broaden and update their knowledge of current trends.
[more]

front cover of Asynchronous Circuit Applications
Asynchronous Circuit Applications
Jia Di
The Institution of Engineering and Technology, 2020
Unlike conventional synchronous circuits, asynchronous circuits are not coordinated by a clocking signal, but instead use handshaking protocols to control circuit behaviour. Asynchronous circuits have been found to offer several advantages, including high energy efficiency, flexible timing requirements, high modularity, low noise/EMI, and robustness to PVT variations. At the same time, growing pressures on the electronics industry for ever smaller, more efficient ICs are pushing the limits of conventional circuit technologies. These factors are spurring growing interest in asynchronous circuits amongst both the academic research and commercial R&D communities.
[more]

front cover of Circuit Analysis and Design
Circuit Analysis and Design
Fawwaz T. Ulaby, Michel M. Mahabiz, & Cynthia M. Furse
Michigan Publishing Services, 2018
[from the Preface] Circuit Analysis and Design aims to accomplish the four vital objectives of a foundational course in the majority of electrical and computer engineering curricula:
(1) It should introduce the fundamental principles of circuit analysis and equip the student with the skills necessary to analyze any planar, linear circuit, including those driven by dc or ac sources, or by more complicated waveforms such as pulses and exponentials.
(2) It should start the student on the journey of circuit design.
(3) It should guide the student into the seemingly magical world of domain transformations—such as the Laplace and Fourier transforms, not only as circuit analysis tools, but also as mathematical languages that are “spoken” by many fields of science and engineering.
(4) It should expand the student’s technical horizon by introducing him/her to some of the many allied fields of science and technology.
 
[more]

front cover of Circuit Analysis and Design
Circuit Analysis and Design
Fawwaz T. Ulaby, Michel M. Mahabiz, & Cynthia M. Furse
Michigan Publishing Services, 2018

front cover of Circuits of Culture
Circuits of Culture
Media, Politics, and Indigenous Identity in the Andes
Jeff D. Himpele
University of Minnesota Press, 2007

Set against the background of Bolivia’s prominent urban festival parades and the country’s recent appearance on the front lines of antiglobalization movements, Circuits of Culture is the first social analysis of Bolivian film and television, their circulation through the social and national landscape, and the emergence of the country’s indigenous video movement.

At the heart of Jeff Himpele’s examination is an ethnography of the popular television program, The Open Tribunal of the People. The indigenous and underrepresented majorities in La Paz have used the talk show to publicize their social problems and seek medical and legal assistance from the show’s hosts and the political party they launched. Himpele studies the program in order to identify the possibilities of the mass media as a site for political discourse and as a means of social action.

Charting as well the history of Bolivia’s media culture, Himpele perceptively investigates cinematic media as sites for understanding the modernization of Bolivia, its social movements, and the formation of indigenous identities, and in doing so provides a new framework for exploring the circulation of culture as a way of creating publics, political movements, and producing media.

Jeff D. Himpele is associate director for the McGraw Center for Teaching and Learning at Princeton University. He is an anthropologist and documentary filmmaker; his films include the award-winning Incidents of Travel in Chichen Itza and Taypi Kala: Six Visions of Tiwanaku.

[more]

logo for Duke University Press
Circuits of Desire, Volume 2
Yukiko Hanawa
Duke University Press

front cover of Circuits of the Sacred
Circuits of the Sacred
A Faggotology in the Black Latinx Caribbean
Carlos Ulises Decena
Duke University Press, 2023
In Circuits of the Sacred Carlos Ulises Decena examines transnational black Latinx Caribbean immigrant queer life and spirit. Decena models what he calls a faggotology—the erotic in the divine as found in the disreputable and the excessive—as foundational to queer black critical and expressive praxis of the future. Drawing on theoretical analysis, memoir, creative writing, and ethnography of Santería/Lucumí in Santo Domingo, Havana, and New Jersey, Decena moves between languages, locations, pronouns, and genres to map the itineraries of blackness as a “circuit,” a multipronged and multisensorial field. A feminist pilgrimage and extended conversation with the dead, Decena’s study is a provocative work that transforms the academic monograph into a gathering of stories, theoretical innovation, and expressive praxis to channel voices, ancestors, deities, theorists, artists, and spirits from the vantage point of radical feminism and queer-of-color thinking.
[more]

front cover of CMOS Digital Integrated Circuits
CMOS Digital Integrated Circuits
A first course
Charles Hawkins
The Institution of Engineering and Technology, 2013
This undergraduate textbook for electrical and computer engineering students is dedicated solely to digital CMOS electronics. It covers many of the topics of graduate level textbooks, but in an introductory style specifically crafted (and course tested) for undergraduates. Students will not need a prerequisite in analog electronics, allowing instructors flexibility in course scheduling. This book blends the academic and industrial experience of the authors to define a base of electronics instruction for the CMOS chip industry.
[more]

front cover of Coaxial Electrical Circuits for Interference-Free Measurements
Coaxial Electrical Circuits for Interference-Free Measurements
Shakil Awan
The Institution of Engineering and Technology, 2011
The authors have between them more than 60 years of experience in making electrical measurements in National Measurement Laboratories. These laboratories are the source of measurement standards and techniques for science and engineering and are dedicated to maintaining the international system of units (SI) by establishing and disseminating the values of measurement standards with the lowest possible uncertainty. Careful attention to detail is required in designing measurement systems that eliminate electrical interference and are as simple and as close to first principles as possible. This book draws on their experience by offering guidance and best practice for designing sensitive electrical measurement circuits.
[more]

front cover of Control Circuits in Power Electronics
Control Circuits in Power Electronics
Practical issues in design and implementation
Miguel Castilla
The Institution of Engineering and Technology, 2016
Control circuits are a key element in the operation and performance of power electronics converters. This book describes practical issues related to the design and implementation of these control circuits, with a focus on the presentation of the state-of-the-art control solutions, including circuit technology, design techniques, and implementation issues.
[more]

logo for University of Illinois Press
Cyber-Marx
Cycles and Circuits of Struggle in High Technology Capitalism
Nick Dyer-Witheford
University of Illinois Press, 1999
In this highly readable and thought-provoking work, Nick Dyer-Witheford assesses the relevance of Marxism in our time and demonstrates how the information age, far from transcending the historic conflict between capital and its laboring subjects, constitutes the latest battleground in their encounter.
 
Dyer-Witheford maps the dynamics of modern capitalism, showing how capital depends for its operations not just on exploitation in the immediate workplace, but on the continuous integration of a whole series of social sites and activities, from public health and maternity to natural resource allocation and the geographical reorganization of labor power. He also shows how these sites and activities may become focal points of subversion and insurgency, as new means of communication vital for the smooth flow of capital also permit otherwise isolated and dispersed points of resistance to connect and combine with one another.
 
Cutting through the smokescreen of high-tech propaganda, Dyer-Witheford predicts the advent of a reinvented, "autonomist" Marxism that will rediscover the possibility of a collective, communist transformation of society. Refuting the utopian promises of the information revolution, he discloses the real potentialities for a new social order in the form of a twenty-first-century communism based on the common sharing of wealth.
 
[more]

front cover of Design of High Frequency Integrated Analogue Filters
Design of High Frequency Integrated Analogue Filters
Yichuang Sun
The Institution of Engineering and Technology, 2002
Analogue filters will always be needed for interfacing between digital systems and the 'real' analogue world. In fact, the high frequency integrated analogue filter has become a key component in achieving ubiquitous communication and computing. In recent years, the renewed interest in analogue, mixed-signal and RF circuits due to the need for system-on-chip design and the market for wireless communications has led to a new peak of research into high frequency integrated analogue filters.
[more]

front cover of Design of Terahertz CMOS Integrated Circuits for High-Speed Wireless Communication
Design of Terahertz CMOS Integrated Circuits for High-Speed Wireless Communication
Minoru Fujishima
The Institution of Engineering and Technology, 2019
Communications technology at a frequency range into Terahertz (THz) levels has attracted attention because it promises near-fibre-optic-speed wireless links for the 5G and post-5G world. Transmitter and receiver integrated circuits based on CMOS, which has the ability to realize such circuits with low power consumption at a low cost, are expected to become increasingly widespread, with much research into the underlying electronics currently underway.
[more]

front cover of Digital and Analogue Instrumentation
Digital and Analogue Instrumentation
Testing and measurement
Nihal Kularatna
The Institution of Engineering and Technology, 2003
To obtain the full value from instrumentation, users require familiarity with a number of basic concepts and an understanding of how those building blocks relate to one another. In this book, Nihal Kularatna provides an introduction to the main families of instruments for students and professionals who have to carry out practical work in electronics and measurement. For each family he covers internal design, use and applications, highlighting their advantages and limitations from a practical application viewpoint.
[more]

front cover of Electrical Craft Principles, Volume 1
Electrical Craft Principles, Volume 1
John Whitfield
The Institution of Engineering and Technology, 2009
This is the first of a two-volume work covering the electrical principles syllabuses of all of the major examining bodies including the City & Guilds of London Institute's electrical craft courses. It is also suitable for a wide range of other courses, including the first three years of the BTEC electrical series. Great care has been taken to ensure that the text fully covers the content of the syllabuses concerned, both as published and as interpreted by the examiners in the past.
[more]

front cover of Electrical Craft Principles, Volume 2
Electrical Craft Principles, Volume 2
John Whitfield
The Institution of Engineering and Technology, 2009
This is the second of a two-volume work covering the electrical principles syllabuses of all of the major examining bodies including the City & Guilds of London Institute's electrical craft courses. It is also suitable for a wide range of other courses, including the first three years of the BTEC electrical series. Great care has been taken to ensure that the text fully covers the content of the syllabuses concerned, both as published and as interpreted by the examiners in the past.
[more]

front cover of Emerging CMOS Capacitive Sensors for Biomedical Applications
Emerging CMOS Capacitive Sensors for Biomedical Applications
A multidisciplinary approach
Ebrahim Ghafar-Zadeh
The Institution of Engineering and Technology, 2021
CMOS-based sensors offer significant advantages to life science applications, such as non-invasive long-term recordings, fast responses and label-free processes. They have been widely applied in many biological and medical fields for the study of living cell samples such as neural cell recording and stimulation, monitoring metabolic activity, cell manipulation, and extracellular pH monitoring. Compared to other sensing techniques, capacitive sensors are low-complexity, high-precision, label-free sensing methods for monitoring cellular activities such as cell viability, proliferation and morphology.
[more]

front cover of Fabrication of GaAs Devices
Fabrication of GaAs Devices
Albert G. Baca
The Institution of Engineering and Technology, 2005
This book provides fundamental and practical information on all aspects of GaAs processing and gives pragmatic advice on cleaning and passivation, wet and dry etching and photolithography. Other topics covered include device performance for HBTs (Heterojunction Bipolar Transistors) and FETs (Field Effect Transistors), how these relate to processing choices, and special processing issues such as wet oxidation, which are especially important in optoelectronic devices. This book is suitable for both new and practising engineers.
[more]

logo for Harvard University Press
From Sand to Circuits
And Other Inquiries
John J. Simon, Jr.
Harvard University Press, 1986

The Harvard University Information Technology Quarterly (formerly Newsletter), from which this collection of articles has been drawn, is highly regarded both for its wide range of exploration and for the depth of its discussion of new and emerging computer-related technologies. Included in this book are articles covering the origin and development of semiconductor technology, the microprocessor, telephony, xerography, electronic typesetting, videodisc technology, computer graphics, artificial intelligence, and robotics.

This book also discusses the impact of information technology on the university and the university library and continues an examination of information technology's role in the educational process. Each of the articles provides historical background and attractive illustrations that enrich the reader's understanding. From Sand to Circuits is both a fascinating compendium of models for understanding information technology and a lucid, highly enjoyable collection of essays.

[more]

front cover of Frontiers in Securing IP Cores
Frontiers in Securing IP Cores
Forensic detective control and obfuscation techniques
Anirban Sengupta
The Institution of Engineering and Technology, 2020
This book presents advanced forensic detective control and obfuscation techniques for securing hardware IP cores by exploring beyond conventional technologies. The theme is important to researchers in various areas of specialization, because it encompasses the overlapping topics of EDA-CAD, hardware design security, VLSI design, IP core protection, optimization using evolutionary computing, system-on-chip design and finally application specific processor/hardware accelerator design for consumer electronics applications.
[more]

front cover of Functionality-Enhanced Devices
Functionality-Enhanced Devices
An alternative to Moore's Law
Pierre-Emmanuel Gaillardon
The Institution of Engineering and Technology, 2019
This book discusses one possible solution to the key issue in electronics engineering - the approaching limits of CMOS scaling - by taking advantage of the tendency of Schottky contacts to form at channel interfaces in nanoscale devices. Rather than suppressing this phenomenon, a functionality-enhanced device exploits it to increase switching functionality. These devices are Multiple-Independent-Gate-Field-Effect-Transistors, and other related nanoscale devices, whose polarity is electrostatically controllable. The functionality enhancement of these devices increases computational performance (function) per unit area and leads to circuits with better density, performance and energy efficiency.
[more]

front cover of Gyrators, Simulated Inductors and Related Immittances
Gyrators, Simulated Inductors and Related Immittances
Realizations and applications
Raj Senani
The Institution of Engineering and Technology, 2020
This book provides comprehensive coverage of the major gyrator circuits, simulated inductors and related synthetic impedances. It offers a thorough review of research in this field to date, and includes an exceptionally wide range and number of circuit examples, along with their relevant design equations, limitations, performance features, advantages and shortcomings. The book provides useful information for academics wishing to keep up-to-date with developments in the design of gyrators and other related synthetic impedances, and can also be used as a reference guide by electronics engineers looking to select appropriate circuits for specific applications.
[more]

front cover of HF Radio Systems and Circuits
HF Radio Systems and Circuits
William E. Sabin
The Institution of Engineering and Technology, 1998
A comprehensive reference for the design of high frequency communications systems and equipment. This revised edition is loaded with practical data, much of which cannot be found in other reference books. Its approach to the subject follows the needs of an engineer from system definition and performance requirements down to the individual circuit elements that make up radio transmitters and receivers.
[more]

front cover of High Speed Data Converters
High Speed Data Converters
Ahmed M.A. Ali
The Institution of Engineering and Technology, 2016
High speed data converters represent one of the most challenging, important and exciting analog and mixed-signal systems. They are ubiquitous in our modern and highly connected world. Understanding and designing this class of converters require proficiency in analog circuit design, digital design, and signal processing. This book covers high speed data converters from the perspective of a leading high speed ADC designer and architect, and with a strong emphasis on high speed Nyquist A/D converters.
[more]

logo for The Institution of Engineering and Technology
High-frequency Circuit Engineering
F. Nibler
The Institution of Engineering and Technology, 1996
This book is aimed at both practising and postgraduate engineers who are interested in the particular problems of high-frequency circuit design. It covers network parameters and how to work with them, various approaches to the use of conductors, and it introduces a large number of circuits using active devices (transistors).
[more]

logo for The Institution of Engineering and Technology
Insulators for High Voltages
J.S.T. Looms
The Institution of Engineering and Technology, 1988
This book is a guide to the whole field of high voltage insulators as used in electrical power networks, traction and production. It covers the historical development of the shapes - sometimes strange ones - of modern types, decribes the principal materials - both ceramic and polymeric - and their fabrication, explains the physical principles of contamination and flashover, and reviews the mass of data on research and testing.
[more]

front cover of Low Power and Low Voltage Circuit Design with the FGMOS Transistor
Low Power and Low Voltage Circuit Design with the FGMOS Transistor
Esther Rodriguez-Villegas
The Institution of Engineering and Technology, 2006
Motivated by consumer demand for smaller, more portable electronic devices that offer more features and operate for longer on their existing battery packs, cutting edge electronic circuits need to be ever more power efficient. For the circuit designer, this requires an understanding of the latest low voltage and low power (LV/LP) techniques, one of the most promising of which makes use of the floating gate MOS (FGMOS) transistor.
[more]

logo for The Institution of Engineering and Technology
Low-power HF Microelectronics
A unified approach
Gerson A.S. Machado
The Institution of Engineering and Technology, 1996
This book presents a thorough and integrated treatment of key topics in the field of low-voltage, low-power, mixed-mode design for the manufacture of low-cost, high-performance, robust integrated circuits. It brings together innovative modelling, simulation and design techniques in CMOS, SOI, GaAs and BJT, optimally combining process, device and design knowledge of low-voltage, high-frequency systems, including smart sensors.
[more]

front cover of Magnetorheological Materials and their Applications
Magnetorheological Materials and their Applications
Seung-Bok Choi
The Institution of Engineering and Technology, 2019
The rheological properties of magnetorheological (MR) materials, such as their viscosity and dynamic modulus, can be tuned or controlled by changing the intensity of the magnetic field using appropriate control schemes. Thanks to their robustness, performance and smart properties, numerous studies have been undertaken on the development of new MR materials, and microscopic and macroscopic modelling approaches. Novel applications include engine mounts and clutch systems in the automotive industry, shock absorbing safety devices for cockpit seats in aerospace, and shock absorption from movement in semi-active human prosthetic legs.
[more]

front cover of MEMS Packaging
MEMS Packaging
Tai-Ran Hsu
The Institution of Engineering and Technology, 2004
Written as a collective work by 14 outstanding microsystems packaging specialists from US industries, government laboratories and universities, MEMS Packaging provides a comprehensive view of the prevalent practices and enabling techniques in the assembly, packaging and testing of MEMS and microsystems. The book also addresses aspects of microassembly and testing technologies that are often overlooked.
[more]

front cover of MEMS Resonator Filters
MEMS Resonator Filters
Rajendra M. Patrikar
The Institution of Engineering and Technology, 2020
The use of MEMS resonators for signal processing is relatively new and has the potential to change the topology of newer generation circuits. New materials, design and fabrication processes, and integration with conventional circuitry will need to be considered.
[more]

front cover of Microwave Measurements
Microwave Measurements
R.J. Collier
The Institution of Engineering and Technology, 2007
The IET has organised training courses on microwave measurements since 1983, at which experts have lectured on modern developments. The purpose of this third edition of the lecture notes is to bring the latest techniques in microwave measurements to a wider audience. The book includes a survey of the theory of current microwave circuits as well as a description of the techniques for the measurement of power, spectrum, attenaution, circuit parameters, and noise, measurements of antenna characteristics, free fields, modulation and dielectric parameters. The emphasis throughout is on good measurement practice. All the essential theory is given and a previous knowledge of the subject is not assumed. The book will be of interest to those engaged in the design of microwave measurement systems in both research and industry, those providing microwave measurement systems in both research and industry, in measurement services and those involved in teaching microwave measurements in universities.
[more]

front cover of Modern Communications Systems
Modern Communications Systems
A First Course
Todor Cooklev
Michigan Publishing Services, 2024
Modern Communications Systems is a senior-level introduction to communications systems, although it can also serve as a reference for graduate students and practicing engineers. It includes treatments of wireless and cabled transmission, cellular systems, and analog and discrete modulation and coding techniques. Examples include Wi-Fi, 4G and 5G cellular systems and DSL. Multicarrier and MIMO communication systems are also covered. All of the mathematics needed is included where it is used rather than in an early introduction, which makes it easier to follow. An extensive number of end-of-chapter problems, along with summaries of concepts, formulas and terms presented in each chapter, are included. Solutions to the end-of-chapter problems are available to instructors teaching from the book.
 
[more]

front cover of Nano-CMOS and Post-CMOS Electronics
Nano-CMOS and Post-CMOS Electronics
Circuits and design, Volume 2
Saraju P. Mohanty
The Institution of Engineering and Technology, 2016
The demand for ever smaller and portable electronic devices has driven metal oxide semiconductor-based (CMOS) technology to its physical limit with the smallest possible feature sizes. This presents various size-related problems such as high power leakage, low-reliability, and thermal effects, and is a limit on further miniaturization. To enable even smaller electronics, various nanodevices including carbon nanotube transistors, graphene transistors, tunnel transistors and memristors (collectively called post-CMOS devices) are emerging that could replace the traditional and ubiquitous silicon transistor. This book explores these nanoelectronics at the circuit and systems levels including modelling and design approaches and issues.
[more]

front cover of Nano-CMOS and Post-CMOS Electronics
Nano-CMOS and Post-CMOS Electronics
Devices and modelling, Volume 1
Saraju P. Mohanty
The Institution of Engineering and Technology, 2016
The demand for ever smaller and portable electronic devices has driven metal oxide semiconductor-based (CMOS) technology to its physical limit with the smallest possible feature sizes. This presents various size-related problems such as high power leakage, low-reliability, and thermal effects, and is a limit on further miniaturization. To enable even smaller electronics, various nanodevices including carbon nanotube transistors, graphene transistors, tunnel transistors and memristors (collectively called post-CMOS devices) are emerging that could replace the traditional and ubiquitous silicon transistor. This book explores these nanoelectronics at the device level including modelling and design.
[more]

front cover of Negative Group Delay Devices
Negative Group Delay Devices
From concepts to applications
Blaise Ravelo
The Institution of Engineering and Technology, 2019
Negative Group Delay Devices: From concepts to applications introduces the theoretical concept, analysis, design methodology and implementation of negative group delay (NGD). The NGD concept is a recent topic in electrical and electronic engineering research based on an unconventional function; the generation of an output signal seemingly in time-advance of the input signal. The NGD function has been exploited to develop experimental high-performance electronic devices, and novel design features of radio frequency (RF) and microwave electronic devices, such as filters, power dividers and amplifiers. Examples include the realization of non-Foster reactive elements, shortening or reducing delay lines, enhancing the efficiency of feedforward linear amplifiers, improvement of phase shifters accuracy and bandwidth, equalization of electrical interconnect effects for the microwave, digital and mixed signal integrity improvement, and minimizing beam-squint in series-fed antenna arrays.
[more]

front cover of Oscillator Circuits
Oscillator Circuits
Frontiers in design, analysis and applications
Yoshifumi Nishio
The Institution of Engineering and Technology, 2017
An electronic oscillator is an electronic circuit that produces a periodic (often a sine wave, a square wave, or a pulse trains) or a non-periodic (a double-mode wave or a chaotic wave) oscillating electronic signal. Oscillators convert direct current from a power supply to an alternating current signal, and are widely used in many electronic devices. This book surveys recent developments in the design, analysis and applications of this important class of circuits.
[more]

front cover of Periodic Control of Power Electronic Converters
Periodic Control of Power Electronic Converters
Keliang Zhou
The Institution of Engineering and Technology, 2017
A key issue for power electronic converters is the ability to tackle periodic signals in electrical power processing to precisely and flexibly convert and regulate electrical power.
[more]

front cover of Phase-Locked Frequency Generation and Clocking
Phase-Locked Frequency Generation and Clocking
Architectures and circuits for modern wireless and wireline systems
Woogeun Rhee
The Institution of Engineering and Technology, 2020
Phase-Locked Frequency Generation and Clocking covers essential topics and issues in current Phase-Locked Loop design, from a light touch of fundamentals to practical design aspects. Both wireless and wireline systems are considered in the design of low noise frequency generation and clocking systems. Topics covered include architecture and design, digital-intensive Phase-Locked Loops, low noise frequency generation and modulation, clock-and-data recovery, and advanced clocking and clock generation systems.
[more]

logo for The Institution of Engineering and Technology
Photonic Integrated Circuits
Integration platforms, building blocks and design rules
Guillermo Carpintero
The Institution of Engineering and Technology, 2019
A photonic integrated circuit (PIC) can be seen as a 'light-based' analogue of an electronic circuit (i.e. where functionality occurs by manipulation of light rather than electrical current). Much research has gone into this area and this well-organised book sheds light on the technology behind PICs and the capabilities of the various platforms available. It provides an engineering approach to photonic integration technologies from the fundamental concepts, through to assembly issues and the integration strategies to combine different components in a single chip.
[more]

logo for The Institution of Engineering and Technology
Physics and Technology of Heterojunction Devices
D. Vernon Morgan
The Institution of Engineering and Technology, 1991
Physics and Technology of Heterojunction Devices brings together the physics of engineering aspects of heterojunction semiconductor devices in one volume.
[more]

logo for The Institution of Engineering and Technology
Power Circuit Breaker Theory and Design
C.H. Flurscheim
The Institution of Engineering and Technology, 1982
The aim has been to provide an up-to-date analysis of the theoretical and practical problems involved in circuit breaker design. Circuit breakers present very special design problems because of the wide mixture of experience required.
[more]

front cover of Radio Frequency and Microwave Power Amplifiers
Radio Frequency and Microwave Power Amplifiers
Efficiency and Linearity Enhancement Techniques, Volume 2
Andrei Grebennikov
The Institution of Engineering and Technology, 2019
Radio Frequency and Microwave Power Amplifiers are finding an increasingly broad range of applications, particularly in communications and broadcasting, but also in the industrial, medical, automotive, aviation, military, and sensing fields. Each application has its own design specifications, for example, high linearity in modern communication systems or high efficiency in broadcasting, and, depending on process technology, capability to operate efficiently at very high frequencies, such as 77 GHz and higher for automotive radars. Advances in design methodologies have practical applications in improving gain, power output, bandwidth, power efficiency, linearity, input and output impedance matching, and heat dissipation.
[more]

front cover of Radio Frequency and Microwave Power Amplifiers
Radio Frequency and Microwave Power Amplifiers
Principles, Device Modeling and Matching Networks, Volume 1
Andrei Grebennikov
The Institution of Engineering and Technology, 2019
Radio Frequency and Microwave Power Amplifiers are finding an increasingly broad range of applications, particularly in communications and broadcasting, but also in the industrial, medical, automotive, aviation, military, and sensing fields. Each application has its own design specifications, for example, high linearity in modern communication systems or high efficiency in broadcasting, and, depending on process technology, capability to operate efficiently at very high frequencies, such as 77 GHz and higher for automotive radars. Advances in design methodologies have practical applications in improving gain, power output, bandwidth, power efficiency, linearity, input and output impedance matching, and heat dissipation.
[more]

front cover of RFIC and MMIC Design and Technology
RFIC and MMIC Design and Technology
I.D. Robertson
The Institution of Engineering and Technology, 2001
RFIC and MMIC technology provides the core components for many microwave and millimetre-wave communications, radar and sensing systems. Recent years have seen exciting developments, such as circuits operating to over 200 GHz, millimetre-wave micromachined antenna arrays and microelectromechanical systems (MEMS). At the same time, the rapid growth of wireless communications in the 1 to 6 GHz range has seen a dramatic shift towards advanced silicon technology. It is timely, therefore, to introduce this fully up-to-date second edition of a world-renowned standard text.
[more]

logo for The Institution of Engineering and Technology
Semi-custom IC Design and VLSI
P.J. Hicks
The Institution of Engineering and Technology, 1983
The contents of this book were first presented as a series of lectures at the first IEE Vacation School on Semi-Custom IC Design and VLSI held at the University of Edinburgh on 4-8 July 1983. The earlier chapters provide an introduction to silicon IC technology and include descriptions of the various processing techniques employed in the manufacture of microelectronic components. Different types of semi-custom IC are then reviewed and the factors that have to be considered in choosing a semi-custom technique are examined in detail. Logic design is next presented as an activity that is best carried out at a higher level of abstraction than the customary/logic gate level by using the algorithmic state machine (ASM) method. In the sections that follow, computer aids to design and design automation tools are introduced as essential requirements for the rapid and error-free design of semicustom ICs. Testing strategies and the need to design for testability are also covered in some detail.
[more]

logo for The Institution of Engineering and Technology
SF6 Switchgear
H.M. Ryan
The Institution of Engineering and Technology, 1989
This book provides an extensive review of recent developments in SF6 Switchgear and covers fundamental properties of SF6 interrupter types, characteristics and associated operating mechanisms, arc modelling and computer aided methods for interrupter design and evaluation. The impact of SF6 Technology upon (i) Transmission Switchgear (ii) Distribution and Utility Switchgear and (iii) Regulations, Testing and Instrumentation is dealt with comprehensively.
[more]

front cover of Silicide Technology for Integrated Circuits
Silicide Technology for Integrated Circuits
Lih J. Chen
The Institution of Engineering and Technology, 2004
Silicide Technology for Integrated Circuits focuses on the task of developing and applying metal silicide technology as it emerges from the scientific to the prototype and manufacturing stages and provides guidance on the application of the latest emerging technology.
[more]

logo for The Institution of Engineering and Technology
Silicon Wafer Bonding Technology for VLSI and MEMS Applications
Subramanian S. Iyer
The Institution of Engineering and Technology, 2002
By bonding a thin wafer of active silicon to a thicker wafer via a layer of insulating oxide to form an SOI structure it is possible to substantially improve the performance and integration of microelectronic circuits produced by very large scale integration (VLSI). For example, the recently announced IBM Power 4 'server-ona- chip' integrates two microprocessors, a high bandwidth system switch, a large memory cache and input/output functions. SOI wafer bonding is also an enabling technology in the rapidly growing field of microelectromechanical systems (MEMS).
[more]

logo for The Institution of Engineering and Technology
Strained Silicon Heterostructures
Materials and devices
C.K. Maiti
The Institution of Engineering and Technology, 2001
This book comprehensively covers the areas of materials growth, characterisation and descriptions for the new devices in siliconheterostructure material systems. In recent years, the development of powerful epitaxial growth techniques such as molecular beam epitaxy (MBE), ultra-high vacuum chemical vapour deposition (UHVCVD) and other low temperature epitaxy techniques has given rise to a new area of research of bandgap engineering in silicon-based materials. This has paved the way not only for heterojunction bipolar and field effect transistors, but also for other fascinating novel quantum devices. This book provides an excellent introduction and valuable references for postgraduate students and research scientists.
[more]

logo for The Institution of Engineering and Technology
Switched Currents
An analogue technique for digital technology
C. Toumazou
The Institution of Engineering and Technology, 1993
The switched-current technique is heralding a new era in analogue sampled-data signal processing. Unlike switched-capacitor circuits, switched-current circuits do not require linear floating capacitors or operational amplifiers and they are giving a renewed impetus to mixed-signal VLSI on standard digital technology. Key analogue designers from industry and academia worldwide have contributed to this first, very timely book entirely devoted to switched current analogue signal processing.
[more]

front cover of The Switching Function
The Switching Function
Analysis of power electronic circuits
C.C. Marouchos
The Institution of Engineering and Technology, 2006
This book demonstrates the usefulness of the switching function in analyzing powers electronic circuits in the steady state. A procedure is suggested for the effective application of this effective application of this method for the analysis of all types of power electronic circuits. The Kirchoff's Laws and the Superposition theorem are applied by introducing the appropriate switching functions in order to derive Unified Expressions of voltage and current in switched circuits valid at all times. The exact expressions of the current in each semiconductor device in the circuit enables the circuit designer to collect all the relevant data to set the ratings of the device such as rms, average and peak values of voltage and current. The order of the voltage and current harmonics at any point in the circuit are derived with simple arithmetic. Compact expressions are derived for Sinusoidal PWM signals based on the switching function. The order, magnitude and phase of each component are derived directly from the expression with simple arithmetic. The educator has a simple way to present to his students the mechanism of operation of complex switched circuits where all the statements regarding their operation are actually presented in the model of the circuit.
[more]

front cover of System-on-Chip
System-on-Chip
Next generation electronics
Bashir M. Al-Hashimi
The Institution of Engineering and Technology, 2006
System-on-Chip (SoC) is believed to represent the next major market for microelectronics, and there is a considerable interest world-wide in developing effective methods and tools to support the SoC paradigm. The field of SoC is broad and expanding and at present the technical and technological literature about the overall state-of-the-art in SoC is dispersed across a wide spectrum of books, journals, and conference proceedings. This edited book is an attempt to provide a comprehensive and accessible source of state-of-the-art information on existing and emerging SoC key research areas. In particular, the book covers the general principles and ideas of designing, validating and testing complex embedded computing systems and their underlying tradeoffs. Twenty-five international research groups have contributed to the book. Each contribution has an up-to-date survey highlighting the key achievements and future trends. To facilitate the understanding of the various topics covered in the book, each chapter has some background covering the basic principles, and extensive list of references. To enhance the book readability, the chapters are grouped into eight parts, each part examining a particular theme of SoC, including system design, embedded software, power management, reconfigurable computing, network-on-chip, verification and test. The book will be of interest to graduate students, designers and managers working in Electronic and Computer engineering.
[more]

front cover of Technology Computer Aided Design for Si, SiGe and GaAs Integrated Circuits
Technology Computer Aided Design for Si, SiGe and GaAs Integrated Circuits
G.A. Armstrong
The Institution of Engineering and Technology, 2007
Technology Computer Aided Design for Si, SiGe and GaAs Integrated Circuits is the first book that deals with a broad spectrum of process and device design, and modelling issues related to various semiconductor devices. This monograph attempts to bridge the gap between device modelling and process design using TCAD. Many simulation examples for different types of Si-, SiGe-, GaAs- and InP-based heterostructure MOS and bipolar transistors are given and compared with experimental data from state-of-the-art devices. Bringing various aspects of silicon heterostructures into one resource, this book also presents a comprehensive perspective of the emerging field and covers topics ranging from materials to fabrication, devices, modelling and applications.
[more]

front cover of Tensorial Analysis of Networks (TAN) Modelling for PCB Signal Integrity and EMC Analysis
Tensorial Analysis of Networks (TAN) Modelling for PCB Signal Integrity and EMC Analysis
Blaise Ravelo
The Institution of Engineering and Technology, 2020
This book describes a fast, accurate and flexible modelling methodology for PCBs. The model uses the concept of tensorial analysis of networks (TAN) based on Kron's and Kron-Branin's methods adapted for the EMC use by O. Maurice. The TAN approach is applied to the PCB SI and electromagnetic compatibility (EMC) analysis.
[more]

front cover of Test and Diagnosis of Analogue, Mixed-signal and RF Integrated Circuits
Test and Diagnosis of Analogue, Mixed-signal and RF Integrated Circuits
The system on chip approach
Yichuang Sun
The Institution of Engineering and Technology, 2008
Systems on Chip (SoC) for communications, multimedia and computer applications have recently received much international attention; one such example being the single-chip transceiver. Modern microelectronic design adopts a mixed-signal approach as a complex SoC is a mixed-signal system including both analogue and digital circuits. Automatic testing becomes crucially important to drive down the overall cost of next generation SoC devices. Test and fault diagnosis of analogue, mixed-signal and RF circuits, however, proves much more difficult than that of digital circuits due to tolerances, parasitics and nonlinearities and therefore, together with challenging tuning and calibration, remains the bottleneck for automatic SoC testing. This book provides a comprehensive discussion of automatic testing, diagnosis and tuning of analogue, mixed-signal and RF integrated circuits, and systems in a single source. The book contains eleven chapters written by leading researchers worldwide. As well as fundamental concepts and techniques, the book reports systematically the state of the arts and future research directions of these areas. A complete range of circuit components are covered and test issues are also addressed from the SoC perspective. An essential reference companion to researchers and engineers in mixed-signal testing, the book can also be used as a text for postgraduate and senior undergraduate students.
[more]

front cover of Uncertainty Quantification of Electromagnetic Devices, Circuits, and Systems
Uncertainty Quantification of Electromagnetic Devices, Circuits, and Systems
Sourajeet Roy
The Institution of Engineering and Technology, 2022
Uncertainty Quantification of Electromagnetic Devices, Circuits, and Systems describes the advances made over the last decade in the topic of uncertainty quantification (UQ) and stochastic analysis. The primary goal of the book is to educate and inform electronics engineers about the most recent numerical techniques, mathematical theories, and computational methods to perform UQ for electromagnetic devices, circuits, and systems.
[more]

front cover of Understandable Electric Circuits
Understandable Electric Circuits
Meizhong Wang
The Institution of Engineering and Technology, 2010
There are many 'Electric Circuits' books on the market but this unique Understandable Electric Circuits book provides an understandable and effective introduction to the fundamentals of DC/AC circuits. It covers current, voltage, power, resistors, capacitors, inductors, impedance, admittance, dependent/independent sources, the basic circuit laws/rules (Ohm's law, KVL/KCL, voltage/current divider rules), series/parallel and wye/ delta circuits, methods of DC/AC analysis (branch current and mesh/node analysis), the network theorems (superposition, Thevenin's/Norton's theorems, maximum power transfer, Millman's and substitution theorems), transient analysis, RLC circuits and resonance, mutual inductance, transformers, and more.
[more]

front cover of Understandable Electric Circuits
Understandable Electric Circuits
Key concepts
Meizhong Wang
The Institution of Engineering and Technology, 2019
In this digital age, as the role of electronic circuits becomes ever broader and more complex, a thorough understanding of the key concepts of circuits is a great advantage. This book offers a thorough reference guide to the theory, elements and design of basic electric circuits, providing a solid foundation for those who plan to move into the field of electronics engineering, and essential information for anyone who uses electric circuitry in their profession or research. The book is designed to be accessible to newcomers to the field while also providing a useful review for more advanced readers. It has been extensively revised and expanded for this new edition to provide a clear source of information on this complex topic. Materials are presented visually with less text and more outlines so that readers can quickly get to the heart of each topic, making studying and reviewing more effective.
[more]

front cover of Understandable Electronic Devices
Understandable Electronic Devices
Key concepts and circuit design
Meizhong Wang
The Institution of Engineering and Technology, 2022
An electronic device is a physical component of an electronic circuit or system, which is used to affect electrons and their associated fields in accordance with the function of that system. Such systems have a very broad range of applications, the main ones being, Industrial automation and motion control, information processing, telecommunication, and signal processing.
[more]

logo for The Institution of Engineering and Technology
Vacuum Switchgear
Allan Greenwood
The Institution of Engineering and Technology, 1994
This book provides a broad perspective of vacuum switchgear drawn from the author's many years of experience in this field. Allan Greenwood describes the development of the technology from the earliest beginnings to the most recent designs now on offer by leading companies around the world.
[more]

logo for The Institution of Engineering and Technology
VLSI and Post-CMOS Devices, Circuits and Modelling
Rohit Dhiman
The Institution of Engineering and Technology, 2019
VLSI, or Very-Large-Scale-Integration, is the practice of combining billions of transistors to create an integrated circuit. At present, VLSI circuits are realised using CMOS technology. However, the demand for ever smaller, more efficient circuits is now pushing the limits of CMOS. Post-CMOS refers to the possible future digital logic technologies beyond the CMOS scaling limits. This book addresses the current state of the art in VLSI technologies and presents potential options for post-CMOS approaches.
[more]

front cover of VLSI and Post-CMOS Electronics
VLSI and Post-CMOS Electronics
Design, modelling and simulation, Volume 1
Rohit Dhiman
The Institution of Engineering and Technology, 2019
VLSI, or Very-Large-Scale-Integration, is the practice of combining billions of transistors to create an integrated circuit. At present, VLSI circuits are realised using CMOS technology. However, the demand for ever smaller, more efficient circuits is now pushing the limits of CMOS. Post-CMOS refers to the possible future digital logic technologies beyond the CMOS scaling limits. This 2-volume set addresses the current state of the art in VLSI technologies and presents potential options for post-CMOS processes.
[more]

front cover of VLSI and Post-CMOS Electronics
VLSI and Post-CMOS Electronics
Devices, circuits and interconnects, Volume 2
Rohit Dhiman
The Institution of Engineering and Technology, 2019
VLSI, or Very-Large-Scale-Integration, is the practice of combining billions of transistors to create an integrated circuit. At present, VLSI circuits are realised using CMOS technology. However, the demand for ever smaller, more efficient circuits is now pushing the limits of CMOS. Post-CMOS refers to the possible future digital logic technologies beyond the CMOS scaling limits. This 2-volume set addresses the current state of the art in VLSI technologies and presents potential options for post-CMOS processes.
[more]

front cover of VLSI Architectures for Future Video Coding
VLSI Architectures for Future Video Coding
Maurizio Martina
The Institution of Engineering and Technology, 2019
This book addresses future video coding from the perspective of hardware implementation and architecture design, with particular focus on approximate computing and the energy-quality scalability paradigm. Challenges in deploying VLSI architectures for video coding are identified and potential solutions postulated with reference to recent research in the field. The book offers systematic coverage of the designs, techniques and paradigms that will most likely be exploited in the design of VLSI architectures for future video coding systems.
[more]

front cover of VLSI Testing
VLSI Testing
Digital and mixed analogue/digital techniques
Stanley L. Hurst
The Institution of Engineering and Technology, 1998
The importance of testing integrated circuits (ICs) has escalated with the increasing complexity of circuits fabricated on a single IC chip. No longer is it possible to design a new IC and then think about testing: such considerations must be part of the initial design activity, and testing strategies should be part of every circuit and system designer's education. This book is a comprehensive introduction and reference for all aspects of IC testing. It includes all of the basic concepts and theories necessary for advanced students, from practical test strategies and industrial practice, to the economic and managerial aspects of testing. In addition to detailed coverage of digital network testing, VLSI testing also considers in depth the growing area of testing analogue and mixed analogue/digital ICs, used particularly in signal processing.
[more]

front cover of Wireless Communications Circuits and Systems
Wireless Communications Circuits and Systems
Yichuang Sun
The Institution of Engineering and Technology, 2004
This book presents a state of the art review of integrated circuits, systems and transceivers for wireless and mobile communications. Contributions from world-class researchers focus upon the most recent developments in key RF, IF and baseband components and subsystems and transceiver architecture in CMOS technology. Adopting a top-down approach from wireless communications systems, mobile terminals and transceivers, to constituent components, this book covers the whole range of baseband, IF and RF issues in a systematic way. Circuit and system techniques for design and implementation of reconfigurable low voltage and low power single-chip CMOS transceivers for both mobile cellular and wireless LAN applications are included.
[more]


Send via email Share on Facebook Share on Twitter